WWW.DISUS.RU

БЕСПЛАТНАЯ НАУЧНАЯ ЭЛЕКТРОННАЯ БИБЛИОТЕКА

 

Теория и технические принципы фазовой интерполяции в устройствах синхронизации и преобразования информации

На правах рукописи

ЧУЛКОВ Валерий Александрович

ТЕОРИЯ И ТЕХНИЧЕСКИЕ ПРИНЦИПЫ

ФАЗОВОЙ ИНТЕРПОЛЯЦИИ В УСТРОЙСТВАХ

СИНХРОНИЗАЦИИ И ПРЕОБРАЗОВАНИЯ

ИНФОРМАЦИИ

Специальность 05.13.05 - Элементы и устройства

вычислительной техники и систем управления

А в т о р е ф е р а т

диссертации на соискание ученой степени

доктора технических наук

Пенза 2011

Работа выполнена в Пензенской государственной технологической академии.

Научный консультант: доктор технических наук, профессор

Бутаев Михаил Матвеевич

Официальные оппоненты: доктор технических наук, профессор

Огнев Иван Васильевич

доктор технических наук, профессор

Урнев Иван Васильевич

доктор технических наук, профессор

Иванов Александр Иванович

Ведущее предприятие – ОАО Научно-производственное предприятие «Рубин».

Защита состоится мая 2011 года, в 14 часов, на заседании диссертационного совета Д 212.186.01 при Пензенском государственном университете по адресу: г. Пенза, ул. Красная, 40.

С диссертацией можно ознакомиться в библиотеке Пензенского государственного университета.

Автореферат разослан « » 2011 г.

Ученый секретарь

диссертационного совета

д.т.н., профессор Гурин Е.И.

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность исследования. Благодаря успехам микроэлектроники цифровые методы представления информации составляют ныне основу вычислительной, управляющей, телекоммуникационной и измерительной техники. Точность цифровых устройств синхронизации и преобразования информации тем выше, чем меньше шаг дискретизации времени, который обычно равен тактовому периоду. Однако всегда остаются задачи, решение которых требует кванта времени, существенно меньшего тактового периода. К числу таких задач относятся синхронизация, устранение ее перекоса и автотестирование систем на кристалле, восстановление синхронизации и декодирование данных в каналах их передачи, радиолокация и сопровождение по дальности, оптическая связь, измерение интервалов времени в физических экспериментах.

Сокращение шага дискретизации времени, достигаемое путем создания множества промежуточных точек отсчета информационного сигнала, составляет суть фазовой интерполяции, исследованию которой и созданию на основе которой новых цифровых устройств синхронизации и преобразования информации и посвящена диссертационная работа.

Внимание к проблеме сокращения кванта времени объясняется потребностями дальней­шего развития систем обработки сигналов. Хотя наблюдающийся прогресс полупроводниковых технологий и оправдывает некоторые оптимистические прогнозы в от­ношении быстродействия элементов цифровой техники, уже просматри­ваются пределы повышения частоты их переключения, установленные действием фундаментальных физических законов. Поэтому одновременно с по­иском новых конструкций и технологий сверхвысокочастотных полупроводни­ковых приборов прорабатываются и вопросы использования фазовой интерполяции. Схемы интерполяции в цифровых измерительных преобразователях времени описаны в работах В.М. Шляндина и Ю.Н. Артюха, в отношении систем синхронизации на воз­можность применения интерполяции указывал В. Линдсей. Вопросы создания прецизионных преобразователей время-код нашли отражение в работах Д.И. Пората, Дж.Г. Манеатиса, П. Дудека, Э.И. Ги­тиса, П.П. Орнатского, Е.А. Мелешко, В.Я. Загурского, Е.И. Гурина и других ученых. Что касается фазовой синхронизации, то следует упомянуть работы В.В. Шахгильдяна, Б.И. Шахтарина, М.И. Романовского, М.И. Жодзишского, В.Н. Федосеевой, Ф.М. Гарднера и др., заложивших основы методов анализа систем синхронизации с элементами дискретизации.

Среди зарубежных исследований в области интерполирующих устройств и систем выделяются работы, выполненные в Стэнфордском университете (США) под руководством М. Хоровица, в университете Оулу (Финляндия) при участии Ю. Костамоваара, Т. Рахконена и А. Мянтиниеми, в европейском центре ядерных исследований CERN (Швейцария) (Я. Арай, М. Мота, Е. Христиансен и др.), Национальном Тайваньском университете (группа исследователей во главе с Ш.-Ю.Лью).

Прямая интерполяция интервала времени может осуществляться различными способами, одни из которых служат для разделения тактового периода на равные части путем образования множества копий основного тактового сигнала, другие способы предназначены для получения субквантов времени меньших задержки логического вентиля на отрезке между парой опорных колебаний. Техническими средствами осуществления фазовой интерполяции первой группы способов являются цифровые линии задержки и мультифазные кольцевые генераторы на основе замкнутой цифровой линии задержки, которые с целью стабилизации кванта времени охватываются обратными связями соответственно по задержке и по фазе. Способы получения временных квантов субвентильного диапазона базируются либо на использовании множества цифровых линий задержки со смещенными шкалами, либо на применении специальных элементов и блоков фазовой интерполяции с фиксированными осями интерполяции.

Применение метода фазовой интерполяции для решения задач синхронизации в процессе передачи, приема и хранения цифровых данных позволяет за счет сокращения шага дискретизации времени существенно повысить точность синхронизации, расширить частотный диапазон устройств синхронизации при сохранении гибкости управления и адаптации к параметрам последовательности данных, свойственной цифровым устройствам. Но в этой области остается нерешенным круг задач по оценке верности декодирования сигналов с учетом погрешности синхронизации, а также вопросы проектирования интерполирующих устройств синхронизации.

Хотя исследования в области фазовой интерполяции ведутся многие годы, значимых результатов в теории не получено, остается нерешенным ряд инженерных задач и не предложены научно обоснованные и эффективные методы их решения. Не освещены, в частности, с единых научно-методологических позиций процессы интерполяции, сведения о разработках носят фрагментарный характер и не систематизированы. Это затрудняет практическое применение и дальнейшее развитие систем обработки информации с использованием принципов фазовой интерполяции. Развитие теории и создание новых методов построения интерполирующих устройств синхронизации и преобразования информации является вкладом в решение крупной научно-технической проблемы.

Объект исследования – элементы и устройства адаптивной синхронизации и преобразования информации на основе фазовой интерполяции для вычислительной техники, систем управления и телекоммуникации.

Предмет исследования – теория и техника фазовой интерполяции, методологические аспекты проектирования интерполирующих элементов и устройств, оптимизация характеристик устройств синхронизации и преобразования информации, использующих принцип фазовой интерполяции.

Целью работы является развитие научных основ и разработка технических принципов построения интерполирующих устройств синхронизации и преобразования информации, совершенствование и создание принципиально новых элементов и устройств вычислительной техники, систем управления и телекоммуникаций с повышенной точностью и производительностью.

Для достижения поставленной цели в диссертации решаются следующие задачи:

  1. теоретическое исследование процессов фазовой интерполяции в микроэлектронных элементах и мультифазных устройствах на ос­нове обобщенных математических моделей, учитывающих технологиче­ские ограничения, внутренние шумы и внешние помехи;
  2. разработка математических моделей интерполирующей фазовой синхронизации, исследование динамических свойств и создание новых структур устройств синхронизации, сравнительный анализ и выявление в классе кусочно-линейных характеристик оптимальной дискриминационной характеристики фазового сравнения;
  3. развитие технических принципов цифрового фазоинтерполирующего преобразования временных интервалов, совершенствование способов и схем преобразования время-код с малым «мертвым» временем между циклами преобразования и сокращенным шагом дискретизации времени;
  4. теоретическое обоснование и выявление путей осуществления фазовой интерполяции для решения задач генерирования, модуляции и синтеза сигналов, в том числе разработка способа и устройств генерирования джиттера импульсов для имитации сигналов в каналах передачи данных с целью измерения фазового запаса приемников, их экспериментальное исследование;
  5. разработка математической модели процесса воспроизведения данных, анализ механизма возникновения ошибки и вывод расчетных соотношений для оценки вероятности ошибки с учетом погрешностей синхронизации в их связи с джиттером сигналов данных;
  6. разработка новых способов и устройств фазовой синхронизации в информационных каналах накопителей на магнитных дисках, ориентированных на повышение верности воспроизведения данных и увеличение информационной емкости накопителей.

Методы исследования. Для решения поставленных задач использован аппарат математического анализа, теории вероятностей и математической статистики, преобразование Лапласа и z-преобразование, методы теории электрических цепей, компьютерное моделирование с применением программ MatLab, MathCad, PSpice, Electronics Workbench, натурный эксперимент и практическая реализация устройств синхронизации и преобразования информации.

Научная новизна диссертационной работы заключается в теоретических положениях, совокупность которых обосновывает метод фазовой интерполяции и его применение в устройствах синхронизации и преобразования информации.

Новыми являются следующие научные результаты.

  1. Математическая модель локальной фазовой интерполяции как процесса взвешенного суммирования опорных колебаний, в отличие от известных моделей позволяющая аналитически определить ось интерполяции на отрезке между опорными колебаниями произвольной формы, установленные закономерности фазового дрожания и управления субнаносекундной задержкой импульсов в биполярных и униполярных элементах задержки.
  2. Математические модели и результаты исследования устройств автоподстройки задержки и мультифазных кольцевых генераторов импульсов, обосновывающие выбор их параметров по критерию точности непрерывной интерполяции, найденные структуры мультифазных кольцевых генераторов.
  3. Математическая модель интерполирующей фазовой синхронизации, предусматривающая отсчет фаз сигналов по субшкале мультифазного опорного генератора и позволяющая выявить условия аналогии процессов интерполирующей синхронизации и фазовой автоподстройки частоты, обосновать оптимальность пилообразной формы дискриминационной характеристики фазового сравнения в классе кусочно-линейных характеристик по критериям динамической точности и вероятности срыва синхронизма, а также синтезировать новые структуры устройств синхронизации.
  4. Основанные на фазовой интерполяции способы и схемы преобразования однократных интервалов времени в цифровой код с малым «мертвым» временем, обладающие по сравнению с известными способами и схемами хронометрического преобразования с непосредственным считыванием повышенной точностью, а также усовершенствованные структуры фазоинтерполирующих преобразователей время-код с субвентильным разрешением.
  5. Способ и технические средства воспроизведения джиттера импульсов с применением фазовой интерполяции в сочетании с псевдослучайной последовательностью как источником цифрового шума, обеспечивающие, в отличие от известных способов и устройств, точные статистические характеристики джиттера в процессе имитации сигналов данных.
  6. Математическая модель процесса воспроизведения данных и полученные соотношения для расчета вероятности ошибки, которые в отличие от известных моделей и формул учитывают погрешности синхронизации в их связи с джиттером сигналов данных;
  7. Новые способы и устройства фазовой синхронизации в информационных каналах накопителей на магнитных дисках, ориентированные на повышение верности воспроизведения данных и увеличение информационной емкости при сокращении времени установления синхронизма, в том числе схемы двухрежимных фазочастотных компараторов, дифференциальный способ фазовой синхронизации и средства его осуществления в устройствах с амплитудно- и широтно-импульсным управлением, способ принудительного фазирования и схемные методы его осуществления.

Практическая ценность работы состоит в следующем:

    • применение фазовой интерполяции в системах передачи, хранения и преобразования информации по сравнению с традиционными системами улучшает их точность пропорционально коэффициенту интерполяции без увеличения тактовой частоты;
    • схемы созданных интерполирующих преобразователей время-код позволяют упростить устройства и сократить их «мертвое» время до времени записи результата преобразования в регистр. будучи реализованными в программируемой полем вентильной матрице, схемы за счет усреднения результатов по 9 каналам преобразования способны обеспечить разрешение менее 50 пс при «мертвом» времени не более 130 пс;
    • использование методики расчета вероятности ошибки, учитывающей джиттер синхросигналов, дает возможность еще до этапа изготовления и натурного испытания численно оценить фазовый запас канала чтения, оптимизировать на этой основе его характеристики, уменьшить время и затраты на проектирование;
    • способ генерирования джиттера импульсов с заданными статистическими свойствами и технические средства его осуществления позволяют с повышенной точностью имитировать сигналы с фазовыми искажениями в процессе контроля и оптимизации характеристик информационных каналов воспроизведения информации;
    • способ принудительного фазирования и найденные варианты его осуществления по сравнению с известными способами обеспечивают сокращение времени установления синхронизма в канале воспроизведения в 50 – 100 раз, а при определенных условиях доведение его до одного бита, что позволяет увеличить информационную емкость дисковых накопителей на 5 – 20%;
    • новые технические решения устройств синхронизации и их функциональных узлов, дифференциальный способ фазовой синхронизации, схемы центрирования «окна данных» и двухрежимного фазочастотного сравнения обеспечивают повышение точности и стабильности синхронизации и снижение на порядок вероятности ошибки чтения в устройствах хранения данных.

Реализация и внедрение результатов исследования. Научные и практические результаты, изложенные в диссертации, получены при непосредственном участии автора в научно-исследовательских и опытно-конструкторских работах Пензенского научно-исследовательского института вычислительной техники (1978 – 1994 г.г.), а также в процессе выполнения хоздоговорных и госбюджетных научно-исследовательских работ в Пензенской государственной технологической академии.

Указанные работы выполнялись по планам Министерства радиопромышленности СССР (тема 761, рег. № У31936, тема 814б, рег. № Х518506, тема 780 рег. № У51003 и др.), по гранту Министерства образования РФ 1997 г., по гранту Российского фонда фундаментальных исследований 2009 г. (№ 09-07-07001), по грантам Пензенской государственной технологической академии «Наука – шаг в будущее» 2006 и 2009 г.г. Результаты исследований и разработок внедрены в серийные накопители информации НМБ 3-8-1-16, НМБ 2-5-1-10, ЕС-5066М, ЕС-5080, в устройства управления подсистем памяти ЕС-5568, ЕС-5580, в серийную технологическую аппаратуру подсистем памяти ЕС-5066/ЕС-5566, ЕС-5080/ЕС-5580, в опытные образцы оптического дискового ЗУ (ОДЗУ), оптико-механического ЗУ ЕС-5150, дисковых накопителей ЕС-5064, ЕС-5320, ЕС-5063.01. Основные разработки по теме диссертации, в том числе ряд изобретений, внедрены в Пензенском НИИ вычислительной техники, Загорском электромеханическом заводе, Каменец-Подольском заводе «Электроприбор», Пензенском заводе ВЭМ, Пензенском ОАО «Радиозавод», Пензенском ОАО «НИИ физических измерений», Научно-исследовательском и конструкторском институте радиоэлектронной техники – филиале ФГУП ФНПЦ «ПО «Старт» им. М.В.Проценко».

На защиту выносятся.

  1. Концептуальный подход к повышению точности цифрового представления сигналов на основе ФИ путем сокращения шага дискретизации времени без увеличения тактовой частоты устройств синхронизации и преобразования информации. Математические модели и результаты исследования процессов непрерывной и локальной ФИ в микроэлектронных элементах ФИ, устройствах автоподстройки задержки и мультифазных кольцевых генераторах импульсов.
  2. Математическая модель, результаты анализа и новые структуры устройств интерполирующей фазовой синхронизации. Обоснование пилообразной формы дискриминационной характеристики фазового сравнения как оптимальной в классе кусочно-линейных характеристик по критериям динамической точности и вероятности срыва синхронизма, а также технические средства ее реализации.
  3. Усовершенствованные способы и схемы фазоинтерполирующего преобразования интервалов времени в цифровой код, в том числе структуры преобразователей с субвентильным разрешением.
  4. Способ и технические средства воспроизведения джиттера импульсов с применением ФИ и псевдослучайной последовательности для имитации сигналов данных с повышенной точностью статистических характеристик.
  5. Математическая модель процесса воспроизведения данных и формулы для расчета вероятности ошибки с учетом погрешности синхронизации в их связи с джиттером сигналов данных.
  6. Способы и комплекс схемных методов построения устройств синхронизации в информационных каналах накопителей на магнитных дисках, направленных на снижение вероятности ошибки воспроизведения данных и увеличение информационной емкости накопителей.

Апробация работы. Основные результаты работы докладывались и обсуждались на Всесоюзной школе-семинаре «Чувствительность электронных и электромеханических устройств и систем» (Москва, МИЭМ, 1979), Всесоюзной научно-технической конференции «Развитие теории и техники хранения информации» (Пенза, 1983), зональном семинаре «Моделирование и проектирование систем записи-воспроизведения информации с применением ЭВМ» (Пенза, 1986), Всесоюзной научно-технической конференции «Проектирование внешних запоминающих устройств на подвижных носителях» (Пенза, 1988), Всесоюзной школе-семинаре «Разработка и внедрение в народное хозяйство персональных ЭВМ» (Минск, 1988), Всесоюзной научно-технической конференции «Моделирование, проектирование и производство систем ВЗУ ЭВМ» (Пенза, 1990), научно-технических конференциях «Актуальные проблемы анализа и обеспечения надежности и качества приборов, устройств и систем» (Пенза, 1996, 1997, 1998), научно-технических конференциях «Проблемы технического управления в региональной энергетике» (Пенза, 1998, 1999, 2001), международной научно-технической конференции «Измерения-2000» (Пенза, 2000), Международной научно-технической конференции «Компьютерное моделирование 2002» (Санкт-Петербург, 2002), Всероссийских научно-технических конференциях «Современные методы и средства обработки пространственно-временных сигналов» (Пенза, 2003 - 2009), Международном юбилейном симпозиуме «Актуальные проблемы науки и образования» (Пенза, ПГУ, 2003), 63-й Научной сессии Российского НТОРЭС им. А.С.Попова, посвященной дню радио (Москва, 2008), Всероссийских научно-технических семинарах «Системы синхронизации, формирования и обработки сигналов для связи и вещания» (Одесса, 2007, Ярославль, 2008, Воронеж, 2009).

Публикации. По теме диссертации опубликовано 83 работы, в том числе две монографии, 2 учебных пособия, 28 статей в ведущих рецензируемых научных журналах, определенных Высшей аттестационной комиссией, 24 авторские свидетельства СССР и 19 патентов РФ на изобретения. Личный вклад автора в работах, опубликованных в соавторстве, состоит в следующем: [5] – вывод расчетных соотношений, [6] – разработка математической модели системы, [7] – оценка возможности линеаризации энергетического спектра джиттера, [9-11] - постановка задачи и разработка структуры, [17] – разработка схемы, [20, 22-24] – вывод теоретических положений, [21] – руководство разработкой, [25] – обоснование способа фазирования. При создании изобретений по авторским свидетельствам СССР [43, 44, 47, 53, 56, 58, 61-63] автор являлся основным разработчиком.

Структура диссертации. Диссертация состоит из введения, шести глав, заключения, списка использованной литературы из 317 наименований и приложений. Она изложена на 315 страницах основного текста, содержит 3 таблицы и 177 рисунков.

СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность темы диссертации, сформулирована цель исследования, поставлены решаемые задачи, показана научная новизна и практическая ценность исследования, перечислены основные положения, выносимые на защиту.

В первой главе выполнена оценка научно-технического уровня исследований и разработок в области обработки сигналов с применением фазовой интерполяции (ФИ), выявлены сферы применения интерполирующих устройств, на основе анализа известных технических решений уточнены задачи работы, представлена обобщенная структура генератора импульсов с ФИ.

В цифровой системе ФИ служит для образования дополнительных, как правило, равномерно распределенных точек отсчета процесса внутри заданного интервала времени. В зависимости от протяженности интерполируемого интервала можно говорить о двух видах ФИ:

  • непрерывной ФИ с созданием интерполирующей сетки, перекрывающей опорный период;
  • локальной ФИ интервала времени с созданием единственной точки – оси интерполяции между двумя опорными колебаниями.

Непрерывная ФИ, в отличие от обычной системы с одним отсчетом на опорный период ТО, обеспечивает генерирование в каждом периоде N последовательностей -импульсов со смещением во времени на ТО/N, каждая из которых участвует в создании отсчетов сигнала – интервала времени от t1 до t2. Следовательно, отсчеты могут принимать лишь два значения, а сам дискретизированный сигнал записывается в виде

,

где - единичная функция. Поскольку количество отсчетов сигнала возрастает в N раз, то интерполяция равноценна повышению в N раз опорной частоты.

Локальная интерполяция применяется для сокращения кванта времени, достигаемого непрерывной интерполяцией, либо для деления интервала между двумя опорными колебаниями. Процедуру локальной ФИ, осуществляемой специальными элементами ФИ (балансный смеситель, КМОП буферы с объединенными выходами и пр.), можно представить как взвешенное суммирование опорных колебаний x и y

,

где – управляющее воздействие, в результате чего получается третье колебание z со средней фазой. Так при сложении квадратурных гармонических колебаний фазу z можно регулировать изменением отношения амплитуд слагаемых:

Основным инструментом осуществления прямой интерполяции в цифровых измерительных преобразователях прежних лет была секционированная электромагнитная линия задержки (ЛЗ). Микросхемные ЛЗ с задержкой секции порядка 1 нс, выпускавшиеся отечественной промышленностью и за рубежом, уже не отвечают потребностям техники по размеру кванта времени и по равномерности интерполяции. Условие «сшивания» квантов в пределах интерполируемого периода, налагающее ограничение на допуск отклонений от номинала параметров звена L и C: где - допустимое отклонение задержки ЛЗ, ужесточается с возрастанием числа N ее звеньев.

С развитием технологий микроэлектронных цифровых линий задержки (ЦЛЗ) на управляемых элементах задержки метод ФИ обрел новые перспективы в части повышения точности. Появилась также возможность уменьшения кванта времени до значений, составляющих долю времени задержки каскада ЦЛЗ. Однако, в отличие от гармонических опорных колебаний, где ось интерполяции устанавливается без каких либо ограничений, необходимым условием ФИ в случае цифровых сигналов является перекрытие во времени их фронтов.

Анализ публикаций, посвященных способам и средствам ФИ, позволяет очертить круг задач, решению которых способствует применение ФИ:

  • синхронизация, которая осуществляется путем автоподстройки задержки (системы DLL – Delay Lock Loop), либо путем фазовой синхронизации (системы PLL – Phase Lock Loop). Первый способ, предполагающий наличие регулярного опорного сигнала, отличается высокой спектральной чистотой вырабатываемых колебаний и ориентирован, главным образом, на синтез частоты и фазирование тактовых импульсов в цифровых системах. Второй способ допускает работу с нерегулярными сигналами и может применяться для восстановления синхронизации в процессе воспроизведения данных. Применение ФИ позволяет повысить точность синхронизации и/или снизить рабочую частоту устройств;
  • комбинационное умножение частоты с применением DLL и логической схемы, входными сигналами которой служат импульсы отводов ЦЛЗ, позволяющий получить коэффициент умножения до , где N – число секций ЦЛЗ;
  • прямой цифровой синтез частоты (DDS – Direct Digital Synthesis), допускающий в отличие от способа PLL безынерционную перестройку частоты с шагом, определяемым разрядностью цифровых узлов. Мультифазное исполнение опорного генератора дает возможность сократить шаг перестройки частоты;
  • модуляция ширины, фазы и частоты импульсов в технике передачи данных и преобразования информации, где ФИ позволяет увеличить информационную нагрузку символов и снизить физическую скорость их передачи;
  • генерирование задержки или в более широком смысле преобразование код-время, необходимое для прецизионного программирования интервалов времени, где ФИ позволяет отказаться от аналоговой интерполяции тактового периода, устранить необходимость в регулировках аппаратуры;
  • преобразование время-код (ПВК). В современных ПВК «грубый» счет импульсов дополняют процедурами оценки погрешности квантования в начале и конце измеряемого интервала. По сравнению с методами аналоговой и нониусной интерполяции, ФИ осуществляет прямую интерполяцию в реальном времени, существенно сокращая «мертвое» время между циклами преобразования;
  • другие применения ФИ направлены на решение частных задач: аналого-цифровое преобразование напряжения; прямое и обратное преобразование последовательного кода в параллельный; логика «Домино»; измерение температуры и освещенности, оценка задержки вентилей на кристалле БИС.

Выполненный обзор разработок позволяет разделить их условно на два основных направления – генерирование сигналов и преобразование их параметров. Общая идея ФИ иллюстрируется структурой интерполирующего генератора импульсов с цифровым управлением (рис.1), включающей кроме N-фазного МКГ селектор импульсов и цифровой контроллер. Контроллер в соответствии с заложенным алгоритмом осуществляет выбор той или иной фазы МКГ по управляющим входам селектора импульсов. Таким образом, текущая фаза выходного импульса Y задается контроллером в цифровом виде по субшкале отсчета МКГ. Поскольку квант времени определяется здесь задержкой каскада МКГ , то, следовательно, в N раз по сравнению с периодом МКГ повышается разрешение устройства по времени.

Функция генератора определяется логической структурой и алгоритмом работы контроллера. Так цифровой фазовый модулятор с коэффициентом преобразования -разрядного числа Х в фазу , равным рад/ед, в контроллере не нуждается. Исполнение контроллера в виде реверсивного счетчика, накапливающего сумматора, генератора псевдослучайных чисел и других функциональных узлов позволяет получить целый спектр устройств от преобразователя код-время, программируемого генератора задержки и фазовращателя до генератора фазового шума и устройства фазовой синхронизации.

Во второй главе рассмотрены основные характеристики и принципы проектирования интегральных элементов интерполирующих систем - управляемых элементов задержки (ЭЗ) и специальных элементов локальной ФИ.

Для задержки электрических сигналов используются разнообразные физические принципы, задержка цифровых сигналов наносекундного и пикосекундного диапазонов осуществляется преимущественно электронными ЭЗ. В ряд основных параметров ЭЗ кроме номинала, технологического разброса и диапазона электронной перестройки времени задержки входят также симметрия задержек фронта и спада сигнала и фазовый шум и/или временной джиттер задержанного сигнала. Ввиду преобладающего воздействия некоррелированных тепловых и дробовых шумов полупроводниковых компонентов джиттер отдельных каскадов в ЦЛЗ аккумулируется: .

Исследованы схемы ЭЗ ТТЛ и ЭСЛ типов с симметричной задержкой. Хотя при относительно больших рабочих токах частота единичного усиления биполярных транзисторов выше той же частоты униполярных транзисторов за счет меньшего времени пролета тонкой области базы, в области микротоков порядка наноампер униполярные структуры способны обеспечить более высокое быстродействие благодаря меньшим по сравнению с биполярными структурами межэлектродным емкостям. Кроме того, полевые транзисторы имеют практически нулевой входной ток, что способствует снижению энергопотребления устройств. Это объясняет преимущественное применение в интерполирующих устройствах униполярной технологии.

На основе анализа математических моделей типовых транзисторных каскадов, пригодных для построения схем регулируемой задержки, определены факторы, определяющие их времена задержки переключения и шумовые свойства. В биполярных устройствах для симметричной задержки сигналов используются, главным образом, дифференциальные каскады, в МОП БИС находят применение как однофазные схемы на основе КМОП инвертора, так и дифференциальные буферы с парафазными входами/выходами.

В результате анализа установлено, что зависимость времени задержки от тока смещения в биполярном дифференциальном каскаде носит немонотонный характер, в области токов, меньших значения

где - размах выходного напряжения, обеспечивается 4 – 5 кратная перестройка задержки путем регулирования тока. Выявлено также, что джиттер задержанных импульсов уменьшается с увеличением размаха выходного напряжения, а его нормированное к задержке значение описывается выражением

,

которое дает основания для оптимизации режима каскада (в формулах использованы общепринятые в литературе обозначения параметров транзистора: - ток смещения, К – постоянная Больцмана, Т – температура по Кельвину).

Выполнен анализ способов электронного регулирования задержки в однофазных КМОП ЭЗ путем ограничения тока инвертора, регулирования постоянной времени выходной цепи и управления сопротивлением двунаправленного ключа. Получены формулы, связывающие время задержки с параметрами схем и управляющим напряжением, их точность подтверждена моделированием в PSpice. На рис.2 представлены нормированные регулировочная (tD/) и формирующая (tr/tD) характеристики ЭЗ на основе двунаправленного ключа, иллюстрирующие возможность широкодиапазонной перестройки задержки. Фронт задержанного импульса в цепи ЭЗ растягивается по закону , для устранения этого явления предложены схемы регенеративных оконечных каскадов ЭЗ.

Проведенный анализ дифференциального КМОП ЭЗ показал, что время его задержки практически не зависит от нелинейности нагрузки, которая выполняется в виде р-канальных транзисторов в диодном или триодном включении, и полностью определяется емкостью нагрузки и эквивалентным сопротивлением канала транзистора в линейной области. Тепловые шумы компонентов каскада приводят к джиттеру задержанных сигналов, нормированное к времени задержки среднеквадратическое значение которого составляет

,

где - коэффициент шумового воздействия, учитывающий усиление, инерционность и нелинейность каскада в зоне переключения, С – емкость нагрузки, - размах выходного напряжения.

Процесс локальной ФИ представлен обобщенной моделью взвешенного суммирования опорных сигналов. Общий подход к проектированию элемента ФИ заключается в удвоении числа транзисторных структур каскадов подобно тому, как это делается в балансном смесителе. Чтобы компенсировать задержку собственно интерполирующего каскада, опорные сигналы также задерживаются дополнительными буферами. Условием осуществления интерполяции является перекрытие во времени фронтов опорных сигналов. Регулировочная характеристика элемента ФИ (f(a) - зависимость оси интерполяции от управляющего воздействия а) определяется формой опорных колебаний и отношением между длительностью их фронта и интерполируемым отрезком (рис.3). Представлены варианты схем элементов ФИ с нерегулируемой и регулируемой осью интерполяции, проанализированы их характеристики.

Дальнейшее сокращение кванта времени достигается каскадным соединением элементов ФИ, при этом возможен параллельный либо последовательный алгоритм интерполяции (рис.4). В процессе параллельной ФИ генерируется полная интерполирующая сетка, ее образование сопряжено со значительными аппаратными затратами. В процессе последовательной ФИ на каждом следующем этапе в качестве опорных выбирается пара смежных сигналов предыдущей ступени, каждая ступень обеспечивает двукратное сокращение кванта времени.

Третья глава посвящена развитию технических принципов фазоинтерполирующего хронометрического преобразования при оценке качества информационных каналов систем передачи и хранения данных. Решение задачи требует непрерывного точного измерения интервалов в последовательности импульсов без пауз («мертвого» времени) между циклами преобразования.

Анализ существующих методов преобразования позволяет сделать следующие выводы. При осуществлении методов прямого преобразования, основанных на счете опорных импульсов, проблема «мертвого» времени практически не возникает, однако точность их ограничена приближающимся к пределам быстродействием цифровых элементов. Применение прецизионных методов косвенного преобразования с растяжкой преобразуемого интервала либо его части сопряжено со сложностями согласования основной и интерполирующей шкал времени, им свойственно продолжительное «мертвое» время между смежными преобразованиями. Варианты метода пространственного нониуса с сегментированием дифференциальной ЦЛЗ ориентированы исключительно на заказное микросхемное исполнение, нуждаются в процедурах калибровки и не позволяют получить «мертвое» время меньше времени задержки сегмента.

Метод ФИ, в своем раннем воплощении на дискретных компонентах известный как метод образования динамической субшкалы непосредственного отсчета событий, сочетает достоинство прямого счета импульсов с возможностью дробления их периода. Однако в прежних разработках данного типа сложно получить размер субкванта в доли наносекунды, стабилизировать его и обеспечить равномерную интерполяцию в пределах периода. Ввиду этого принципы ФИ в приложении к хронометрическому преобразованию нуждаются в усовершенствовании с учетом новых технологических возможностей.

Упомянутые усовершенствования касаются, прежде всего, применения современных микроэлектронных средств ФИ в виде ЦЛЗ, МКГ и блоков интерполяции, а также поиска подходов к сокращению и повышению точности кванта времени ПВК.

Один из новых принципов организации фазоинтерполирующего ПВК на базе N-фазного МКГ заключается в отказе от стабилизации его периода, который вместо этого непрерывно измеряется (рис.5). Регистрация событий «Старт» и «Стоп» производится по субшкале отсчета МКГ «на лету» без его останова. Измерение выполняется путем умножения периода импульсов МКГ в D раз и заполнения полученного интервала М импульсами опорного генератора с периодом ТО. Квант времени ПВК равен времени задержки его каскада . Длительность интервала в единицах времени рассчитывается арифметическим блоком по формуле

,

где Q – разность моментов поступления сигналов Старт и Стоп, отсчитанных по субшкале МКГ. В интерполирующем ПВК производится по существу прямой счет импульсов множества выходов МКГ, поэтому при повышенной в N раз точности преобразования «мертвое» время практически устраняется. Устройство не содержит аналоговых узлов, не требует регулировок и автокалибровки. В образце, построенном на программируемой полем вентильной матрице (ППВМ) EP2C20F484C7N фирмы Altera Corporation (семейство Cyclone II), достигнутый шаг дискретизации времени 315 пс определяется временем задержки логического вентиля. В том же проекте, реализованном на ППВМ семейства Stratix III, шаг дискретизации при моделировании не превысил 171 пс. «Мертвое» время определяется по существу временем записи состояния МКГ в регистр и составляет соответственно 282 и 130 пс.

Дальнейшее повышение точности преобразования достигается разными путями, один из способов основан на усреднении результатов одновременного преобразования по L каналам, каждый из которых включает свой ПВК. Итоговое значение интервала вычисляется арифметическим блоком по формуле

,

а погрешность преобразования оказывается равной

,

то есть в раз меньше времени задержки вентиля. Данный прием без физического уменьшения кванта времени способен обеспечить в 9-канальном ПВК на ППВМ методическую погрешность преобразования менее 50 пс.

Определены подходы и разработаны технические принципы физического сокращения кванта времени до субвентильных значений, которые различаются местом генерирования интерполирующей шкалы – на стороне преобразуемого сигнала или на стороне МКГ. В соответствии с первым подходом образуются смещенные на копии входного сигнала, перекрывающие время задержки каскада МКГ, с последующим стробированием полученным множеством копий сигнала совокупности выходов МКГ и запоминанием смещенных «образов» его состояния. Задержку сигнала с малым шагом предложено осуществлять с помощью активного блока ФИ.

Согласно второму подходу субшкала отсчета времени образуется в виде перекрывающей период МКГ интерполирующей сетки, для чего могут применяться:

а) активный q-ступенчатый блок ФИ, размножающий фазы МКГ, доводя их число до , что соответствует уменьшению кванта времени до значения . В разработанном варианте ПВК стабилизация кванта времени достигается совмещением цепей управления блока ФИ и МКГ;

б) матрица образующих ЦЛЗ ЭЗ, генерирующая множество идентичных шкал времени по строкам с заданным смещением по столбцам. Данный способ, ориентированный на заказное исполнение БИС ПВК, обеспечивает разрешение по времени , где , - число элементов в строке и в столбце соответственно;

в) матрица образующих МКГ элементов ФИ, которая перекрывает период колебаний множеством своих фаз. Для устранения необходимости в инициализации рабочего режима все элементы матрицы предложено выполнять в виде элементов ФИ с регулируемой осью интерполяции. Период вынужденных колебаний матрицы после замыкания вертикальных обратных связей определяется выражением , где - коэффициент, определяющий позицию оси интерполяции, причем новый квант времени становится равным , где - размерность матрицы, С – мода колебаний. При k(U) = 0 МКГ в матрице оказываются несвязанными, при k(U) = 1 в матрице остаются лишь связи по вертикалям, и она вырождается в одну «длинную» замкнутую цепь из всех ФИ, в которой не выполняются условия самовозбуждения. При k(U) = 1/2 сцепление матрицы одинаково по обоим направлениям, данный вариант осуществляется на основе нерегулируемых элементов ФИ. Для установления предпочтительной моды с наименьшим квантом времени матрица приводится в исходное состояние со значением , что достигается надлежащим выбором значения k(U).

В приложении приведены результаты моделирования ПВК на основе опорной фазовой матрицы в среде Simulink системы MATLAB.

В четвертой главе освещены вопросы применения метода ФИ в технике генерирования сигналов. Рассмотрены схемные способы выработки мультифазных ансамблей импульсов, для которых уточнены условия автогенерирования и расчетные соотношения для вычисления частоты колебаний. Повышение разрешения интерполирующей системы, достигаемое увеличением числа каскадов N работающего на частоте МКГ и соответствующим уменьшением задержки tD каскада, требует решения проблемы фазового шума. В свободно работающем МКГ в течение интервала наблюдения Т среднеквадратическое отклонение временного сдвига импульса, связанного с воздействием шумового тока iш, достигает значения , где коэффициент k равен

.

Здесь - эффективное значение функции импульсной чувствительности, - полный заряд переключения емкости каскада МКГ. Для случая трапецеидальных генерируемых импульсов значение функции определяется длительностями фронтов импульсов

.

Поскольку в N-каскадном МКГ , то можно сделать вывод о снижении джиттера по закону с увеличением числа каскадов.

Увеличение числа каскадов при заданной частоте возможно лишь при сокращении задержки каскада МКГ, что, в частности, способны обеспечить схемы с опережающей ФИ. Предложен вариант структуры генератора на базе регулируемых элементов ФИ (рис.6), позволяющий осуществить широкодиапазонную перестройку частоты в соответствии с выражением

где и - количество каскадов, оказывающихся замкнутыми в кольцо МКГ при значениях управляющего воздействия и . Разработаны также способы цифрового управления частотой генерируемых импульсов путем направленного перебора фаз МКГ и регулирования числа его каскадов.

С целью выяснения условий возбуждения колебаний, а также оценки технологического разброса и стабильности частоты интегральных МКГ проведено экспериментальное исследование множества кольцевых генераторов, реализованных на кристалле ППВМ типа EP2C20F484C7N. Частота импульсов МКГ имела технологический разброс (среднеквадратическое отклонение по десяти образцам на одном кристалле), не превышающий ±1%. Температурный коэффициент частоты составил в среднем -0,2%/град, чувствительность частоты к уходу напряжения питания не превысила 8,7% /В. Таким образом, установлено, что влияние дестабилизирующих факторов на частоту всех МКГ на одном кристалле совершенно идентично, что имеет большое практическое значение для проектирования интерполирующих устройств.

Рассмотрены вопросы применения метода ФИ для генерирования программируемых прецизионных интервалов времени, построения цифровых фазовращателей, а также широтно- и фазо-импульсной модуляции сигналов, найдены технические решения устройств для решения таких задач. Во всех устройствах замена однофазного тактового генератора на N-фазный МКГ позволяет в N раз повысить точность задания модулируемого параметра. Применение каскадированных блоков ФИ дает возможность дальнейшего повышения точности.

Отдельной областью применения метода ФИ является синтез сигналов, в том числе прямой синтез частоты, обеспечивающий безынерционную перестройку частоты с чрезвычайно малым шагом. Предложены принципы построения синтезатора, в котором благодаря подключению m-каскадного блока ФИ к отводам программируемой ЦЛЗ шаг перестройки частоты сокращается до

,

где - инкремент фазы, n – длина ЦЛЗ, то есть по сравнению с известными синтезаторами точность программирования частоты повышается в 2m раз.

Для практической оценки верности передачи данных по каналу связи и фазового запаса декодера, например в процессе воспроизведения в устройстве памяти необходимо генерировать сигналы с характерными искажениями, которые в каналах с частотной и фазовой манипуляцией проявляются как джиттер импульсов. Если регулярную составляющую джиттера несложно воспроизвести известными средствами, то воспроизведение его случайной составляющей представляет собой достаточно сложную задачу. Аналоговое преобразование флуктуирующего напряжения исходного источника шума в отклонения фазы импульсов тактового генератора не обеспечивает точных и стабильных статистических характеристик джиттера. В работе предложен способ генерирования джиттера и разработаны варианты структур генераторов на основе принципа ФИ в сочетании с использованием псевдослучайной последовательности чисел как источника первичного цифрового шума.

Известно, что при достаточной длине псевдослучайной последовательности ее реализация на интервале наблюдения будет совершенно непредсказуемой, в то время как ширина энергетического спектра и дисперсия процесса задаются с абсолютной точностью, свойственной цифровым способам. Характеристики цифрового шума близки к характеристикам «белого» шума, поэтому задача воспроизведения джиттера сводится к линейному преобразованию цифрового шума в фазовый сдвиг. Разработаны варианты реализации способа генерирования джиттера, различающиеся порядком образования цифрового шума и средствами модуляции фазы тактовых импульсов. В качестве примера на рис.7 показана упрощенная структура генератора джиттера, в котором суммирование содержимого разрядов генератора псевдослучайных чисел (ГПСЧ) совмещено с процессом модуляции фазы, для чего предусмотрена последовательная цепь ЭЗ, управляемых выходами ГПСЧ: замыканию шунтирующего ключа соответствует уменьшение задержки на t. Если цепь ЭЗ состоит из m элементов, то число ступеней квантования ее задержки также равно m, среднеквадратическое отклонение фазы составляет , а энергетический спектр простирается от до fO, где М – общее число разрядов ГПСЧ.

Предложен ряд технических решений, направленных на повышение точности моделирования случайных девиаций фазы и длительности импульса, а также на выравнивание энергетического спектра процесса в заданной полосе частот. При тактировании ГПСЧ с частотой, в N раз выше опорной частоты, спад огибающей спектра на частоте fO уменьшается в соответствии с выражением и, например, для не превышает 0,45 дБ.

Пятая глава содержит результаты исследования интерполирующих цифровых систем синхронизации двух видов – автоподстройки задержки DLL и автоподстройки фазы PLL.

С помощью линеаризованной модели проанализированы основные свойства системы PLL с блоком накачки заряда в качестве контурного фильтра и с МКГ, работающим в однофазном режиме. В общей погрешности синхронизации системы выделены динамическая погрешность и погрешность слежения, оценены их показатели. Рассмотрены два подхода к построению интерполирующих систем PLL: с воздействием на частоту и с воздействием на фазу МКГ. В обоих случаях осуществляется мультиплексирование фаз МКГ, перестройка частоты в первом случае выполняется изменением числа активных каскадов генератора, во втором случае – направленной селекцией его фазы. Представлен также вариант схемы, осуществляющей частотную автоподстройку частоты.

В высокоскоростных устройствах обработки информации суммарное время селекции выхода МКГ может оказаться чрезмерно большим, в течение этого времени состояние выходного сигнала устройства PLL не определено, то есть имеется зона нечувствительности. Для сокращения зоны нечувствительности предложены новые технические решения устройств фазовой синхронизации, в которых направленной селекцией фаз МКГ управляет реверсивный регистр сдвига, при этом разрядность цифровых блоков сокращается вдвое.

Предельная точность синхронизации определяется фазовым разрешением МКГ, а по существу - числом его фаз. Каскадированный блок ФИ позволяет существенно увеличить число фаз, доведя разрешение до субвентильных значений. К точности самого блока ФИ не предъявляется особых требований – достаточно лишь монотонности его регулировочной характеристики. Чтобы избежать усложнения устройства и сократить занимаемую площадь на кристалле, возможно построение интерполирующей системы по двухступенчатой структуре, реализующей последовательный алгоритм интерполяции (рис.8). Благодаря снижению частоты местного генератора становится возможным использование дешевых КМОП технологий, например в преобразователях последовательного кода в параллельный код и обратно в сверхскоростных оптических системах Internet-коммуникаций.

Выполнено исследование модели DLL, уравнение которой

,

где - коэффициенты передачи звеньев, соответствует системе первого порядка с абсолютной устойчивостью и полосой захвата, равной полосе удержания. Каждый ЭЗ в последовательной цепи, составляющей ЦЛЗ, при номинале времени задержки имеет технологическое отклонение и шумовое отклонение :

.

Шумовое отклонение времени задержки ЦЛЗ до ее m-го отвода, характеризуемое математическим ожиданием и дисперсией , возрастает с порядковым номером m отвода ЦЛЗ, достигая максимума на ее выходе: . Систематическая погрешность по задержке от входа до выхода компенсируется цепью обратной связи, а на промежуточных отводах изменяется с номером отвода как

,

достигая максимума в середине ЦЛЗ (рис.9), где среднеквадратическое отклонение фазы равно

.

Джиттер сигналов в системе DLL, запускаемой «чистым» опорным сигналом, не накапливается с течением времени, что и оправдывает ее применение как альтернативы PLL для синхронизации в случае регулярного опорного сигнала, например в целях устранения перекоса синхронизации в цепях размножения тактовых сигналов.

С помощью математической модели DLL, описываемой системой разностных уравнений

где - ошибка фазового сравнения, и - значение и шумовое отклонение тока накачки заряда в интегрирующий конденсатор С, установлена связь джиттера выходных импульсов с дисперсиями отклонений задержки секции ЦЛЗ , опорного интервала и сигнала рассогласования :

,,.

Из полученных формул следует, что джиттер сокращается с уменьшением коэффициента передачи в прямой ветви регулирования задержки и повышением инерционности фильтра. Поскольку джиттер выходного сигнала всегда больше, чем джиттер опорного сигнала, то система DLL непригодна для фильтрации фазового шума входных сигналов.

Условие исключения захвата DLL на гармониках опорной частоты требует, чтобы собственное время задержки ЦЛЗ при всех технологических, температурных и питающих отклонениях располагалось в пределах

.

Условие является весьма жестким, если учесть, что только технологический разброс задержки интегральной ЦЛЗ в типовом КМОП исполнении может достигать диапазона 2:1.

Далее исследованы динамические свойства двухконтурной системы DLL, в которой первый контур «а» генерирует задержку TC(s), автоматически подстраивающуюся к опорному периоду Ta(s) стабильного источника, а второй контур «b» вырабатывает необходимую точную добавку к TC(s), обеспечивающую синфазность дополнительно задержанного сигнала TY(s) входному сигналу Tb(s), которым может выступать и нерегулярный информационный сигнал. С учетом всех видов воздействий реакции системы на отдельные воздействия вида «единичный скачок» () по входам «a» и «b» и на шумовой сдвиг записываются в виде ( - безразмерное время):

, , .

Численные расчеты по этим формулам позволяют сделать выводы относительно качества переходных процессов в системе, в частности утверждать, что при любом соотношении значений полюсов а и b система не обнаруживает неустойчивости, введение второго контура в систему DLL позволяет повысить точность задержки без ухудшения динамики системы.

Выработаны общие под­ходы к построению интерполи­рующих устройств PLL с релейной и пилообразной формой дискрими­национной характеристики фазо­вого сравнения, разработаны структуры соответствующих уст­ройств (рис.10). Для анализа динамиче­ских свойств устройства с пилооб­разной характеристикой составлена ее математическая модель и получено уравнение

,

решение которого зависит от передаточной функции цифрового фильтра, обязанного включать, по крайней мере, одно интегрирующее звено. Анализ математической модели при введении некоторых ограничений приводит к следующим выражениям для переходного процесса установления фазового рассогласования ( - безразмерное время)

 и амплитудно-частотной характеристики ( - безразмерная частота). -92

и амплитудно-частотной характеристики ( - безразмерная частота)

.

Характеристики интерполирующего устройства PLL с воздействием на фазу МКГ аналогичны характеристикам традиционного устройства ФАПЧ с фильтром, имеющим на единицу меньший порядок. Даны рекомендации по выбору параметров устройства.

Принцип ФИ дает возможность организовать фазочастотную автоподстройку с поочередным воздействием на частоту и фазу МКГ, что позволяет без ущерба для точности синхронизации довести частотный диапазон захвата до декады. Реализация способа фазочастотной автоподстройки подразумевает применение цифровых узлов с переменными модулями, разработаны схемные принципы построения таких узлов – МКГ, счетчика импульсов, сумматора.

Поставлена и решена с помощью метода статистической линеаризации задача оптимизации дискриминационной характеристики фазового сравнения в классе кусочно-линейных характеристик, присущих широтно-импульсным и цифровым фазовым компараторам (ФК). Критериями оптимизации являются дисперсия фазы генерируемого в устройстве PLL синхросигнала, а также вероятность срыва режима синхронизации за время наблюдения tн, которая в кольце PLL с интегрирующим фильтром определяется выражением

 где - эквивалентная крутизна линеаризованной характеристики, ф - постоянная-95

где - эквивалентная крутизна линеаризованной характеристики, ф - постоянная времени фильтра, KГ - крутизна модуляционной характеристики МКГ, N0 - спектральная плотность белого шума на входе фильтра. Проведенные расчеты указывают на преимущество пилообразной формы дискриминационной характеристики ФК.

Предложены способы построения ФК с пилообразной характеристикой, одна из схем, отличающаяся отсутствием зоны нечувствительности и обеспечивающая ускоренный широкополосный захват устройства PLL, представлена на рис.11. В режиме захвата (А = 1) работает ядро ФК в виде пары D-триггеров Т1, Т2 с общей цепью сброса через вентиль И-НЕ, обладающее зеркально-симметричной характеристикой с апертурой линейного участка и свойством частотной дискриминации. В режиме слежения (А = 0) ФК осуществляет только фазовое сравнение с апертурой дискриминационной характеристики , для чего триггер Т2 выключается из работы, а его функции передаются триггеру Т3, при этом длительность импульса D фиксирована, а длительность импульса U определяется фазовым отношением сравниваемых сигналов X и Y. Перевод схемы из режима захвата в режим слежения не вызывает переходного процесса в устройстве PLL.

В шестой главе исследуются способы и средства синхронизации информационных каналов накопителей на магнитных дисках.

Сигналы в процессе записи-чтения данных в накопителе, в отличие от обычного канала передачи, на разных этапах прохождения через канал изменяются не только по способу представления, но и по физической природе их носителя. Кроме того, процессы записи и чтения разнесены во времени. Применяемые канальные коды обеспечивают равномерную запись данных на диске и, благодаря ограничениям длины интервала между смежными значащими моментами, способность к самосинхронизации. Предельная плотность размещения данных на диске и, следовательно, его информационная емкость ограничивается многими технологическими, шумовыми и электрическими факторами, а также, не в последнюю очередь, явлением межсимвольной интерференции.

Как по традиционному методу детектирования сигнала чтения по пикам воспроизведенного отклика, так и по методу prmL (максимальному правдоподобию) верность данных во многом определяется точностью синхронизации, различие состоит лишь в способе фазового сравнения сигнала y местного генератора устройства pLL с воспроизведенным сигналом x. Рассматривая устройство PLL как фазовый фильтр, реализующий метод накопления информации о фазе принятых к данному моменту входных импульсов:

,

дисперсию фазовых отклонений выходного сигнала можно представить как

.

Если значения фазовых отклонений входного сигнала некоррелированы, а его информационный импульсный поток характеризуется плотностью < 1, то

.

Следовательно, точность синхронизации зависит от канального кода и повышается с увеличением числа учтенных устройством входных сигналов, в частности, среднеквадратическое отклонение выходной фазы уменьшается в раз.

Ошибка декодирования символа в общем случае возникает в результате выпадения значащего момента воспроизведенного сигнала Х из «окна данных», границы которого определяются позициями синхросигналов y. В известных работах при расчете вероятности ошибки чтения позиция «окна» молчаливо полагалась идеальной, точность таких расчетов недостаточна. В диссертации выполнен анализ механизма возникновения ошибки, учитывающий погрешности синхронизации. Основываясь на уравнении вероятности ошибки при воспроизведении одиночного символа, получено выражение

,

в котором временные параметры (- статические и - случайные отклонения) нормированы к длительности «окна» 0 (обозначение времени t сохранено для удобства интерпретации)

, , , .

Представленные на рис.12 в виде графиков зависимости вероятности ошибки от значения среднеквадратического отклонения с синхросигнала при разных значениях его статического смещения с от идеальной позиции демонстрируют существенное влияние погрешности синхронизации на верность воспроизводимых данных. Уменьшению статической погрешности синхронизации на 10% соответствует сокращение вероятности ошибки примерно на порядок, что оправдывает применение средств автоматического центрирования «окна данных».

Устройства синхронизации в дисковых устройствах памяти применяются для демодуляции сервосигналов системы позиционирования магнитных головок, в канале записи данных и в канале их чтения. Все они строятся по схемам PLL, которые различаются способом образования сигнала рассогласования, формой и порядком следования опорных сигналов, что диктует выбор структуры и характеристик устройства синхронизации. Для демодуляции сервосигналов используется неравномерная интерполяция цикла сервосигнала, в канале чтения устройство PLL работает в режиме умножения опорной частоты. Рассмотрены особенности построения таких устройств и ряд схем устройств синхронизации, использованных в серийных и опытных образцах накопителей.

Исследовано устройство PLL с амплитудно-импульсным управлением, работающее в режиме умножения частоты переменной кратности kf, характерном для канала чтения данных. В таком устройстве ФК выполняется в виде ключа, а форма дискриминационной характеристики повторяет пилообразную форму сигнала местного управляемого генератора (УГ). Для анализа свойств устройства при малых возмущениях выведено разностное уравнение -го порядка ( - порядок передаточной функции фильтра) вида

,

где - нормированное к амплитуде УГ напряжение ФК, а - нормированная к полосе удержания fу начальная расстройка его частоты. Уточнены условия устойчивости устройства и оценено влияние искажений формы дискриминационной характеристики на допустимое отклонение входных импульсов от номинальных позиций, которое не может превышать

,

где и - коэффициенты, отражающие долю участка обратного хода пилы характеристики и смещение ее базовой линии от нуля.

Для преодоления ограничений, связанных с искажениями формы дискриминационной характеристики, разработан дифференциальный способ PLL, сущность которого состоит в разделении процессов обработки сигналов фазовой ошибки, полученных по исходной симметричной треугольной характеристике фазового сравнения, с последующим вычитанием напряжений ошибки разного знака. Применение дифференциального способа позволяет получить строго пилообразную форму характеристики и снизить вдвое рабочую частоту УГ. Разработаны схемные методы реализации способа в устройствах с амплитудно-импульсным и широтно-импульсным управлением.

Выполнен сравнительный анализ способов ускорения начального установления синхронизма в устройствах фазовой синхронизации, направленных на уменьшение объема служебной информации в формате сектора данных на носителе и увеличение на этой основе информационной емкости систем внешней памяти. Ни один из известных способов, таких как организация режима поиска, изменение инерционности фильтра, перевод УГ в режим ударного возбуждения, изменение апертуры дискриминационной характеристики не позволяют сократить время захвата до нескольких тактовых периодов.

Для решения указанной задачи разработан способ принудительного фазирования устройства PLL, заключающийся в останове и синхронном запуске местного генератора, предварительно настроенного на тактовую частоту информационных сигналов. Эффективность способа принудительного фазирования оценивается степенью сокращения времени установления синхронизма с погрешностью по сравнению с временем установления синхронизма в традиционной системе с амплитудно-импульсным управлением:

,

где , - нормированное напряжение выборки ФК, - полюсы передаточной функции, - погрешность принудительного фазирования. При выполнении условия переходным процессом можно пренебречь, и, следовательно, обеспечивается предельно быстрый захват с первым же входным импульсом.

Разработаны варианты цепей принудительного фазирования для устройств PLL с различными способами представления фазового рассогласования, устройства использованы в опытных и серийных образцах накопителей с вращающимся носителем.

Цель диссертационного исследования, заключающаяся в развитии научных основ и разработке технических принципов построения интерполирующих систем синхронизации и преобразования информации, достигнута в процессе решения поставленных научных задач.

Результаты работы состоят в следующем.

  1. В порядке разработки концептуального подхода к повышению точности цифрового отображения информации как метода сокращения шага дискретизации времени, с использованием обобщенных математических моделей ФИ исследованы процессы ФИ в микроэлектронных элементах интерполяции и управляемой задержки цифровых сигналов субнаносекундного диапазона, системах автоподстройки задержки и мультифазных кольцевых генераторах.
  2. Определены условия реализации локальной ФИ путем взвешенного суммирования опорных колебаний и непрерывной ФИ путем автоподстройки задержки и генерирования мультифазной последовательности импульсов, найдены зависимости осей интерполяции от управляющих воздействий, определены факторы, обусловливающие джиттер вырабатываемых импульсов, и разработаны новые структуры мультифазных кольцевых генераторов.
  3. Разработана математическая модель, выполнены исследования и созданы новые структуры устройств интерполирующей фазовой синхронизации. Показана аналогия интерполирующей синхронизации и фазовой автоподстройки частоты, условием аналогии является на единицу больший порядок контурного фильтра в системе с ФИ. по критериям точности и надежности удержания синхронизма обоснована оптимальность пилообразной дискриминационной характеристики фазового сравнения среди кусочно-линейных характеристик другой формы, разработаны технические средства ее реализации.
  4. Развиты технические принципы фазоинтерполирующего преобразования временных интервалов с малым «мертвым» временем, найдены новые способы и структуры преобразователей время-код, которые по сравнению с известными способами и схемами хронометрического преобразования с непосредственным считыванием обладают повышенной точностью. Варианты таких устройств, будучи реализованными в ПЛИС, характеризуются разрешением, равным задержке логического вентиля, а ориентированные на реализацию в заказной БИС – субвентильным разрешением.
  5. С применением метода ФИ решен ряд задач прикладного характера в части генерирования, синтеза и модуляции сигналов, а также их программируемой задержки, отличающиеся от известных решений повышенной точностью и скоростью установления рабочего режима. Теоретически обоснован, разработан и исследован основанный на ФИ способ воспроизведения джиттера импульсов для имитации цифровых сигналов в каналах передачи данных, позволяющий точно оценить фазовый запас приемников в условиях воздействия искажающих факторов. Разработаны и исследованы новые устройства генерирования джиттера.
  6. Разработана математическая модель детектирования символов в информационном канале дискового накопителя, которая в отличие от известных моделей учитывает погрешность синхронизации. Получена и апробирована в численных расчетах формула вероятности ошибки воспроизведения, позволяющая оценить влияние статического сдвига и случайных отклонений «окна данных». Обоснован и практически реализован способ автоматического центрирования «окна данных», позволяющий повысить верность воспроизведения.
  7. Разработаны новые способы и устройства фазовой синхронизации для информационных каналов дисковых накопителей, в том числе с изменяемой апертурой дискриминационной характеристики, с принудительным фазированием синхросигнала, с дифференциальной обработкой сигналов рассогласования, с фазочастотной автоподстройкой. Найденные технические решения отличаются от известных разработок сочетанием высокой скорости и надежности захвата с точностью в режиме слежения, в частности при выполнении определенных условий синхронизм достигается с первым же битом. Разработанные устройства использованы в ряде серийных устройств внешней памяти ЭВМ, где позволили увеличить информационную емкость памяти.

ОСНОВНЫЕ ПУБЛИКАЦИИ ПО ТЕМЕ ДИССЕРТАЦИИ

Монографии и учебные пособия

        1. Чулков, В.А. Интерполирующие устройства синхронизации и преобразователи информации: Монография / В.А. Чулков. – М.: Физматлит. - 2010. – 328 с.
        2. Чулков, В.А. Фазовая интерполяция в системах синхронизации и преобразования информации: Монография / В.А. Чулков. – Пенза: Изд-во Пенз. гос. технол. академии, 2008. – 395 с.
        3. Чулков, В.А. Схемотехника ЭВМ: Учебное пособие / В.А. Чулков. – Пенза : Изд-во Пензенского технологического института. - 2002. – 257 с.
        4. Чулков, В.А. Схемотехника внешних запоминающих устройств: элементы и узлы / В.А. Чулков, Ю.А. Смагин. – Пенза: Изд-во Пенз. гос. техн. ун-та. - 1997. – 116 с.

Статьи в журналах перечня ВАК

        1. Чулков, В.А. Управляемые КМОП элементы задержки для интерполирующих преобразователей информации / В.А. Чулков, М.М. Бутаев // Вопросы радиоэлектроники, сер. ЭВТ. – 2010. - Вып. 5. - с. 130 – 140.
        2. Чулков, В.А. Анализ точности интерполяции опорного периода системой автоподстройки задержки / В.А. Чулков, М.М. Бутаев // Вопросы радиоэлектроники, сер. ЭВТ, 2010, вып.5, - с. 140 – 148.
        3. Чулков, В.А. Генерирование фазового шума с применением псевдослучайной последовательности / М.М. Бутаев, В.А. Чулков // Известия высших учебных заведений. Поволжский регион. Технические науки. – 2010. – № 1 (13). – с. 3-14.
        4. Чулков, В.А. Устройства синхронизации с элементами фазовой интерполяции / В.А. Чулков // Известия вузов. Приборостроение. – 2009. - № 9. - с. 53 - 57.
        5. Чулков, В.А. Генератор импульсов с фазовым дрожанием / В.А. Чулков, А.В. Медведев // Известия вузов. Приборостроение. – 2009. - № 1. - с. 50 - 54.
        6. Чулков, В.А. Интерполирующий преобразователь время-код на п.л.и.с. / В.А.Чулков, А.В.Медведев // Приборы и техника эксперимента. – 2009. - № 6. – с. 31 – 35.
        7. Чулков, В.А. Кольцевые генераторы импульсов на ПЛИС / В.А. Чулков, А.В. Медведев // Известия вузов. Приборостроение. – 2009. - № 12. – с. 50 – 53.
        8. Чулков, В.А. Интерполирующие преобразователи время-код / В.А. Чулков // Автометрия. – 2008. - Т. 44. - № 6. - с. 116 – 127.
        9. Чулков, В.А. Генераторы импульсов с фазовым субквантованием / В.А. Чулков // Известия вузов. Приборостроение. – 2004. - № 8. - с. 28 – 34.
        10. Чулков, В.А. Управляемые генераторы импульсов с селекцией опорной фазы / В.А. Чулков // Радиотехника. – 2002. - № 10. - с. 51 - 55.
        11. Чулков, В.А. Квадратно-корневой преобразователь напряжения / В.А. Чулков // Приборы и техника эксперимента. – 1996. - №2. – с. 70 -72.
        12. Чулков, В.А. Генератор импульсов с фазовым дрожанием / В.А. Чулков // Приборы и техника эксперимента. – 1996. - № 2. - с. 73, 74.
        13. Чулков, В.А. Управляемая электронная линия задержки / В.А. Чулков, Е.Б. Федосеев // Приборы и техника эксперимента. – 1984. - № 3. – с. 123 – 125.
        14. Чулков, В.А. Высокочастотный генератор пилообразного напряжения / В.А. Чулков / Приборы и техника эксперимента. – 1980. - № 6. - с. 96, 97.
        15. Чулков, В.А. Дискретно-фазовая автоподстройка частоты в устройстве синхронизации данных / В.А. Чулков // Вопросы радиоэлектроники, сер. ЭВТ. – 1990. - Вып. 13. - с. 70 - 76.
        16. Чулков, В.А. Принципы фазовой синхронизации при воспроизведении данных в магнитном дисковом ЗУ / В.А. Чулков, А.Д. Глыбовский // Вопросы радиоэлектроники, сер. ЭВТ. – 1989. - Вып. 11. - с. 22 - 35.
        17. Чулков, В.А. Малогабаритные блоки задержки/ В.А. Чулков, А.Д. Глыбовский, Е.Б. Федосеев // Вопросы радиоэлектроники, сер. ЭВТ. – 1985. - Вып. 13. - с. 87 - 89.
        18. Чулков, В.А. Измерение характеристик синхронизатора в выделителе данных / В.А. Чулков, А.Д. Глыбовский // Вопросы радиоэлектроники, сер. ЭВТ. – 1985. - Вып. 13. – с. 38 – 44.
        19. Чулков, В.А. О характеристике фазового детектора в синхронизаторе выделителя данных НМД / В.А. Чулков, А.Д. Глыбовский // Вопросы радиоэлектроники, сер. ЭВТ. – 1984. - Вып. 13. – с. 55 – 58.
        20. Чулков, В.А. Фазовый запас и вероятность ошибки при воспроизведении информации в АЦМЗ / Б.М. Раков, В.А. Чулков // Вопросы радиоэлектроники, сер. ЭВТ. – 1981. - Вып. 13. - с. 27 - 32.
        21. Чулков, В.А. Принудительное фазирование системы импульсно-фазовой автоподстройки частоты / В.Г. Макурочкин, В.А. Чулков, А.Д. Глыбовский // Вопросы радиоэлектроники, сер. ЭВТ. – 1980. - Вып. 10. - с. 29 - 33.
        22. Чулков, В.А. Система синхронизации с сигналом фазовой ошибки в виде широтно-модулированного дипульса / В.А. Чулков // Вопросы радиоэлектроники, сер. ЭВТ. – 1979. - Вып.13. - с. 3 - 13.

Патенты и авторские свидетельства на изобретения

        1. Пат. РФ 2303852, МКИ Н03К 5/156. Имитатор джиттера / Чулков В.А. – № 2005111473/09 ; Заявл. 18.04.2005 ; Опубл. 27.07.2007.
        2. Пат. РФ 2303803, МКИ G04F10/00. Преобразователь время-код / Чулков В.А. - № 2005128884/28 ; Заявл. 15.09.2005 ; Опубл. 27.07.2007.
        3. Пат. РФ 2267221, МКИ H03L7/00. Цифровое устройство фазовой синхронизации / Чулков В.А. – № 2004111037/09 ; Заявл. 12.04.2004 ; Опубл. 27.12.2005.
        4. Пат. РФ 2261527, МКИ H03K5/156. Формирователь импульсов случайной длительности / Чулков В.А. – № 2004107717/09 ; Заявл. 15.03.2004 ; Опубл. 27.09.2005.
        5. Пат. РФ 2261525, МКИ H03B29/00. Генератор импульсов случайной длительности / Чулков В.А. – № 2004109287/09 ; Заявл. 29.03.2004 ; Опубл. 27.09.2005.
        6. Пат. РФ 2260905, МКИ H03K5/156. Генератор импульсов с процентным фазовым шумом / Чулков В.А. – № 2004103842/09 ; Заявл. 10.02.2004 ; Опубл. 20.09.2005.
        7. Пат. РФ 2260904, МКИ H03K3/86. Генератор импульсов с автоподстройкой частоты / Чулков В.А. – № 2004113742/09 ; Заявл. 05.05.2004 ; Опубл. 20.09.2005.
        8. Пат. РФ 2260830, МКИ G04F10/04. Устройство для измерения интервала времени / Чулков В.А. – № 2004108575/28 ; Заявл. 22.03.2004 ; Опубл. 20.09.2005.
        9. Пат. РФ 2259630, МКИ H03L7/107. Устройство фазовой автоподстройки генератора импульсов / Чулков В.А. – № 2004110286/09 ; Заявл. 05.04.2004 ; Опубл. 27.08.2005.
        10. Пат. РФ 2173934, МКИ Н03К 5/04. Устройство для формирования интервала времени / Чулков В.А. – № 200109989/09 ; Заявл. 18.04.2000 ; Опубл. 20.09.2001.
        11. Пат. РФ 2173933, МКИ Н03Н 11/22. Цифровой фазовращатель / Чулков В.А. – № 2001102433/09 ; Заявл. 31.01.2000 ; Опубл. 20.09.2001.
        12. Пат. РФ 2170490, МКИ Н03К 5/14. Генератор импульсов с цифровой перестройкой периода / Чулков В.А. - №2000104920/10, Заявл. 28.02.2000 ; Опубл. 10.07.2001.
        13. Пат. РФ 2168268, МКИ H03L7/10. Генератор с раздельной цифровой регулировкой частоты и фазы импульсов / Чулков В.А. – № 2000102432/09 ; Заявл. 31.01.2000 ; Опубл. 27.05.2001.
        14. Пат. РФ 2167493, МКИ H03L7/00. Устройство синхронизации / Чулков В.А. – № 2000102988/09 ; Заявл. 07.02.2000 ; Опубл. 20.05.2001.
        15. Пат. РФ 2133552, МКИ Н03К 5/159. Генератор импульсов с нормированным фазовым шумом., 20.07.1999/ Чулков В.А. – № 98107484/09 ; Заявл. 24.04.1998 ; Опубл. 20.07.1999.
        16. Пат. РФ 2119717, МКИ H03L7/00. Устройство фазовой синхронизации / Чулков В.А. - № 97106276/09 ; Заявл. 15.04.1997 ; Опубл. 27.09.1998.
        17. Авт. свид. СССР 1688382, МКИ H03D 13/00. Частотно-фазовый компаратор / Кузьмин В.А., Чулков В.А. – № 4748303/09 ; Заявл. 11.10.1989 ; Опубл. 30.10.1991.
        18. Авт. свид. СССР 1675943, МКИ G11B 5/09. Устройство для синхронизации и выделения данных / Кузьмин В.А., Чулков В.А., Глыбовский А.Д. - № 4747395/24 ; Заявл. 9.10.1989 ; Опубл. 7.09.1991.
        19. Авт. свид. СССР 1674245, МКИ G11B 27/00. Устройство синхронизации канала воспроизведения данных / Чулков В.А. – № 4744753/10 ; Заявл. 2.10.1989 ; Опубл. 30.08.1991.
        20. Авт. свид. СССР 1674231, МКИ G11B 5/09. Устройство синхронизации воспроизводимых данных / Чулков В.А. – № 4744754/10 ; Заявл. 2.10.1989 ; Опубл. 30.08.1991.
        21. Авт. свид. СССР 1615799, МКИ G11B 27/10. Устройство фазовой синхронизации для дискового накопителя цифровых данных / Чулков В.А., Глыбовский А.Д. - № 4490630/24-10 ; Заявл. 5.10.1988 ; Опубл. 23.12.1990.
        22. Авт. свид. СССР 1465909, МКИ G11B 27/10. Устройство для синхронизации воспроизведения информации / Чулков В.А. – № 4221645/24-10 ; Заявл. 2.04.1987 ; Опубл. 15.03.1989.
        23. Авт. свид. СССР 1287274, МКИ Н03К 5/135. Способ формирования импульса заданной длительности / Чулков В.А. – № 3905032/24-21 ; Заявл. 6.06.1985 ; Опубл. 30.01.1987.
        24. Авт. свид. СССР 1256164, МКИ Н03К 4/00.Формирователь симметричных импульсов / Чулков В.А. – № 3724121/24-21 ; Заявл. 5.04.1984 ; Опубл. 7.09.1986.
        25. Авт. свид. СССР 1221722, МКИ Н03К 5/13. Устройство задержки / Чулков В.А.. – № 3644213/24-21 ; Заявл. 21.09.1983 ; Опубл. 30.03.1986.
        26. Авт. свид. СССР 1198533, МКИ G06F 15/20. Устройство для моделирования фазового дрожания импульсов кодовой последовательности / Чулков В.А. – № 3706095/24-24 ; Заявл. 4.01.1984 ; Опубл. 15.12.1985.
        27. Авт. свид. СССР 1185355, МКИ G06G 7/48. Устройство для моделирования канала воспроизведения цифровой магнитной записи / Чулков В.А., Дралин А.И. - № 3722986/24-24 ; Заявл. 5.04.1984 ; Опубл. 15.10.1985.
        28. Авт. свид. СССР 983978, МКИ H03D 13/00. Частотно-фазовый компаратор / Чулков В.А. – № 3325045/18-21 ; Заявл. 27.07.1981 ; Опубл. 23.12.1982.
        29. Авт. свид. СССР 944088, МКИ Н03К 3/64. Генератор последовательности импульсов / Чулков В.А. – № 3212705/18-21 ; Заявл. 8.12.1980 ; Опубл. 15.07.1982.
        30. Авт. свид. СССР 866698, МКИ H03D 13/00. Частотно-фазовый детектор / Чулков В.А., Глыбовский А.Д. – № 2648788/18-09 ; Заявл. 21.07.1978 ; Опубл. 23.09.1981.
        31. Авт. свид. СССР 720488, МКИ G11B 5/00. Устройство для синхронизации воспроизводимой с вращающегося магнитного носителя информации / Чулков В.А. – № 2528486/18-10 ; Заявл. 30.09.1977 ; Опубл. 5.03.1980.
        32. Авт. свид. СССР 690552, МКИ G11B 5/00. Устройство для синхронизации воспроизводимой информации / Чулков В.А., Глыбовский А.Д. – № 2530898/18-10 ; Заявл. 14.09.1977 ; Опубл. 5.10.1979.
        33. Авт. свид. СССР 649032, МКИ G11B 27/32. Устройство для синхронизации записываемой на магнитный носитель информации / Чулков В.А. – № 2526979/18-10 ; Заявл. 27.09.1977 ; Опубл. 25.02.1979.
        34. Авт. свид. СССР 618783, МКИ G11B 5/09. Синхронизатор канала воспроизведения аппарата цифровой магнитной записи / Чулков В.А. – № 2460380/18-10 ; Заявл. 10.03.1977 ; Опубл. 5.08.1978.
        35. Авт. свид. СССР 615536, МКИ G11B 27/18. Устройство фазовой синхронизации при воспроизведении цифровой информации / Чулков В.А., Глыбовский А.Д. - № 2403903/18-21 ; Заявл. 13.09.1976 ; Опубл. 15.07.1978.
        36. Авт. свид. СССР 590810, МКИ G11B 5/09. Способ формирования синхросигнала при воспроизведении с носителя магнитной записи / Чулков В.А., Глыбовский А.Д. - № 2368784/18-10 ; Заявл. 4.06.1976 ; Опубл. 30.01.1978.
        37. Авт. свид. СССР 539331, МКИ G11B 27/18. Устройство фазовой синхронизации в аппаратуре цифровой магнитной записи / Чулков В.А., Глыбовский А.Д. – № 2193191/10 ; Заявл. 24.11.1975 ; Опубл. 15.12.1976.


 




<
 
2013 www.disus.ru - «Бесплатная научная электронная библиотека»

Материалы этого сайта размещены для ознакомления, все права принадлежат их авторам.
Если Вы не согласны с тем, что Ваш материал размещён на этом сайте, пожалуйста, напишите нам, мы в течении 1-2 рабочих дней удалим его.